summaryrefslogtreecommitdiff
path: root/configure.ac
diff options
context:
space:
mode:
authorWilson Snyder <wsnyder@wsnyder.org>2022-12-17 15:00:34 -0500
committerWilson Snyder <wsnyder@wsnyder.org>2022-12-17 15:00:34 -0500
commit0dddf9849eac699466a33f7ec28dd5290eea29b0 (patch)
tree52024bdb2c65a917fea7874c39b49bb128068e0b /configure.ac
parent7828ceac1dd0638bccdec95ce4d1ce504e152bd3 (diff)
downloademacs-0dddf9849eac699466a33f7ec28dd5290eea29b0.tar.gz
; lisp/progmodes/verilog-mode.el: Collected updates from verilog-mode upstream.
* lisp/progmodes/verilog-mode.el (verilog-beg-block-re-ordered) (verilog-forward-sexp, verilog-leap-to-head): Support of hideshow for ifdef/ifndef blocks (#1819). (verilog-cparenexp-indent-level): Improve multiline indentation with verilog-indent-lists set to nil. Indent uvm statements and system tasks and functions according to the argument position in the parenthesized expression. (verilog-extended-complete-re): Fix verilog-beg-of-statement on local methods. (verilog-beg-of-statement): Fix indentation of properties inside ifdef (#1817). (verilog-declaration-varname-matcher, verilog-fontify-variables): Add option to enable/disable variable fontification. (verilog-declaration-varname-matcher): Fix bug in highlighting of vars on func/task arguments. (verilog-mark-defun): Fix behavior of verilog-mark-defun (#1805). (verilog-pretty-declarations): Add support to align declarations/expressions within the region (#1806). (verilog-align-typedef-regexp, verilog-align-typedef-words): Add support for alignment of user defined types (#1803). (verilog-pretty-declarations): Limit alignment of members of a struct to consecutive valid declarations. (verilog-typedef-enum-re): Update minor bug in typedef enum regex. (verilog-indent-declaration): Fix compiler warning on verilog-indent-declaration. (verilog-batch-error-wrapper) (verilog-warn-error, verilog-warn-fatal) (verilog-warn-fatal-internal): Make `verilog-warn-fatal' safe for local variables (#1799). Reported by Rich-Cloutier. (verilog-align-comment-distance, verilog-align-comments) (verilog-align-decl-expr-comments) (verilog-align-declaration-comments): Support alignment of parameter/localparam expressions. Support for expression alignment in parameter lists. Support declaration/expression alignment of 'type' parameters. Support alignment of inline comments after `verilog-pretty-expr'. Alignment of expressions that do not have blanks before/after operator char Fix on functions that did not work as expected for this use case. Use markers in verilog-pretty-expr to avoid bugs while iterating. (verilog-pretty-expr): Fix bug in alignment of expressions (#1797). (verilog-cparenexp-indent-level): Improve indentation of defun parameters when indent-lists is nil (#1795). (verilog-align-assign-expr): Add support to align expressions of continuous assignments (#1793). (verilog-pretty-expr): Fix bug in boundaries of verilog-pretty-expr (#1792) and prevent verilog-pretty-expr from executing on multiline assignments (verilog-do-indent): Fix indentation of assignments and enums with indent-lists nil (#1790). (verilog-do-indent): Fix alignment of structs and enums (#1789). (verilog-backward-sexp, verilog-forward-sexp): Add precedence to paren expressions for sexp funcs navigation (#1788). (verilog-declaration-varname-matcher): Fix fontifying of variable names and overriding of keywords (#1787). (verilog-do-indent): Fix indentation of paren expr if verilog-indent-lists is nil (#1785). (verilog-backward-ws&directives): Fix indentation after double comment (#1784). (verilog-beg-of-statement-1): Fix indentation of instances and declarations right after 'begin' (#1782). (verilog-declaration-comments-distance): Add variable to adjust aligned comment distance in declarations (#1779). (verilog-indent-ignore-multiline-defines): Add indentation ignore of multiline defines and custom regexps (#1778). (verilog-align-declaration-comments): Add support to align comments in declarations (#1775). (verilog-pretty-declarations): Fix alignment of user types on ports declarations. (verilog-do-indent): Fix indentation of virtual, protected and static class methods when verilog-indent-lists is nil. (verilog-get-lineup-indent, verilog-pretty-declarations): Fix alignment of first port declaration (#1167) (#1771). (verilog-pretty-declarations): Fix alignment of declaration of interfaces with modports (#636) (#1770). (verilog-do-indent): Add `verilog-indent-class-inside-pkg' and fix indentation of classes inside packages (#286) (#1769). Reported by Gonzalo Larumbe. (verilog-backward-sexp, verilog-forward-sexp) (verilog-leap-to-class-head, verilog-leap-to-head): Fix indentation after interface class (#1047) (#1768). Reported by Gonzalo Larumbe. (verilog-do-indent): Fix indentation if verilog-indent-lists is nil (#1703) (#1767). Reported by Gonzalo Larumbe. (verilog-do-indent): Fix indentation of coverpoints (#1321) (#1766). (verilog-backward-sexp, verilog-forward-sexp) (verilog-leap-to-head): Fix bug in forward-sexp and backward-sexp for some constructs (#1765) Reported by Gonzalo Larumbe.
Diffstat (limited to 'configure.ac')
0 files changed, 0 insertions, 0 deletions