summaryrefslogtreecommitdiff
path: root/lisp/progmodes/verilog-mode.el
Commit message (Collapse)AuthorAge
* Fix some useless condition-case formsMattias Engdegård2023-02-28
| | | | | | | | * lisp/progmodes/cperl-mode.el (cperl-calculate-indent): * lisp/progmodes/verilog-mode.el (verilog--suppressed-warnings): Add error handler, seemingly the intention here. * lisp/url/url-gw.el (url-open-stream): Remove condition-case; it was neutered in 2006.
* Merge from origin/emacs-29Eli Zaretskii2023-01-01
|\ | | | | | | | | | | | | | | | | | | | | cae528457c ; Add 2023 to copyright years. b394359261 Improve documentation of 'isearch-open-overlay-temporary' ab3210e709 Document 'use-package' in the 2 main manuals # Conflicts: # etc/refcards/ru-refcard.tex # lib/explicit_bzero.c # m4/explicit_bzero.m4
| * ; Add 2023 to copyright years.Eli Zaretskii2023-01-01
| |
* | ; lisp/progmodes/verilog-mode.el: Collected updates from verilog-mode upstream.Wilson Snyder2022-12-18
| | | | | | | | | | | | | | | | | | | | * lisp/progmodes/verilog-mode.el (verilog-align-typedef-regexp): Fix defcustom type to allow nil. (verilog-at-constraint-p) (verilog-cparenexp-indent-level, verilog-typedef-enum-re): Fix test-custom-opts issues. Co-authored-by: Mattias Engdegård <mattiase@acm.org>
* | ; lisp/progmodes/verilog-mode.el: Collected updates from verilog-mode upstream.Wilson Snyder2022-12-17
|/ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/progmodes/verilog-mode.el (verilog-beg-block-re-ordered) (verilog-forward-sexp, verilog-leap-to-head): Support of hideshow for ifdef/ifndef blocks (#1819). (verilog-cparenexp-indent-level): Improve multiline indentation with verilog-indent-lists set to nil. Indent uvm statements and system tasks and functions according to the argument position in the parenthesized expression. (verilog-extended-complete-re): Fix verilog-beg-of-statement on local methods. (verilog-beg-of-statement): Fix indentation of properties inside ifdef (#1817). (verilog-declaration-varname-matcher, verilog-fontify-variables): Add option to enable/disable variable fontification. (verilog-declaration-varname-matcher): Fix bug in highlighting of vars on func/task arguments. (verilog-mark-defun): Fix behavior of verilog-mark-defun (#1805). (verilog-pretty-declarations): Add support to align declarations/expressions within the region (#1806). (verilog-align-typedef-regexp, verilog-align-typedef-words): Add support for alignment of user defined types (#1803). (verilog-pretty-declarations): Limit alignment of members of a struct to consecutive valid declarations. (verilog-typedef-enum-re): Update minor bug in typedef enum regex. (verilog-indent-declaration): Fix compiler warning on verilog-indent-declaration. (verilog-batch-error-wrapper) (verilog-warn-error, verilog-warn-fatal) (verilog-warn-fatal-internal): Make `verilog-warn-fatal' safe for local variables (#1799). Reported by Rich-Cloutier. (verilog-align-comment-distance, verilog-align-comments) (verilog-align-decl-expr-comments) (verilog-align-declaration-comments): Support alignment of parameter/localparam expressions. Support for expression alignment in parameter lists. Support declaration/expression alignment of 'type' parameters. Support alignment of inline comments after `verilog-pretty-expr'. Alignment of expressions that do not have blanks before/after operator char Fix on functions that did not work as expected for this use case. Use markers in verilog-pretty-expr to avoid bugs while iterating. (verilog-pretty-expr): Fix bug in alignment of expressions (#1797). (verilog-cparenexp-indent-level): Improve indentation of defun parameters when indent-lists is nil (#1795). (verilog-align-assign-expr): Add support to align expressions of continuous assignments (#1793). (verilog-pretty-expr): Fix bug in boundaries of verilog-pretty-expr (#1792) and prevent verilog-pretty-expr from executing on multiline assignments (verilog-do-indent): Fix indentation of assignments and enums with indent-lists nil (#1790). (verilog-do-indent): Fix alignment of structs and enums (#1789). (verilog-backward-sexp, verilog-forward-sexp): Add precedence to paren expressions for sexp funcs navigation (#1788). (verilog-declaration-varname-matcher): Fix fontifying of variable names and overriding of keywords (#1787). (verilog-do-indent): Fix indentation of paren expr if verilog-indent-lists is nil (#1785). (verilog-backward-ws&directives): Fix indentation after double comment (#1784). (verilog-beg-of-statement-1): Fix indentation of instances and declarations right after 'begin' (#1782). (verilog-declaration-comments-distance): Add variable to adjust aligned comment distance in declarations (#1779). (verilog-indent-ignore-multiline-defines): Add indentation ignore of multiline defines and custom regexps (#1778). (verilog-align-declaration-comments): Add support to align comments in declarations (#1775). (verilog-pretty-declarations): Fix alignment of user types on ports declarations. (verilog-do-indent): Fix indentation of virtual, protected and static class methods when verilog-indent-lists is nil. (verilog-get-lineup-indent, verilog-pretty-declarations): Fix alignment of first port declaration (#1167) (#1771). (verilog-pretty-declarations): Fix alignment of declaration of interfaces with modports (#636) (#1770). (verilog-do-indent): Add `verilog-indent-class-inside-pkg' and fix indentation of classes inside packages (#286) (#1769). Reported by Gonzalo Larumbe. (verilog-backward-sexp, verilog-forward-sexp) (verilog-leap-to-class-head, verilog-leap-to-head): Fix indentation after interface class (#1047) (#1768). Reported by Gonzalo Larumbe. (verilog-do-indent): Fix indentation if verilog-indent-lists is nil (#1703) (#1767). Reported by Gonzalo Larumbe. (verilog-do-indent): Fix indentation of coverpoints (#1321) (#1766). (verilog-backward-sexp, verilog-forward-sexp) (verilog-leap-to-head): Fix bug in forward-sexp and backward-sexp for some constructs (#1765) Reported by Gonzalo Larumbe.
* ; Fix typosStefan Kangas2022-11-20
|
* ; Fix several symbol name typosStefan Kangas2022-10-26
|
* verilog-mode.el: Don't bind `inhibit-point-motion-hooks`Stefan Monnier2022-10-07
| | | | | | | | | | | Keep binding it in Emacs<25 but not in Emacs≥25 (where such bindings are redundant and the var is declared obsolete). * lisp/progmodes/verilog-mode.el (verilog-save-buffer-state): Don't bind `inhibit-point-motion-hooks` if Emacs≥25. (verilog-save-font-no-change-functions): Same, and refrain from binding `before/after-change-functions` as well while we're at it, since `inhibit-modification-hooks` already covers it in Emacs≥25.
* Make point-at-eol and point-at-bol obsoleteStefan Kangas2022-08-23
| | | | | | | * lisp/subr.el (point-at-eol, point-at-bol): Make XEmacs compat aliases obsolete in favor of `pos-bol'/'line-beginning-position' or 'pos-eol'/'line-end-position'. Update callers. Ref: https://lists.gnu.org/r/emacs-devel/2022-08/msg00853.html
* Use `ash` instead of `lsh` in verilog-mode (bug#56641)Mattias Engdegård2022-07-23
| | | | | | * lisp/progmodes/verilog-mode.el (verilog-simplify-range-expression): Use `ash`; the result will be the same because the first argument is nonnegative.
* * lisp/progmodes/verilog-mode.el (verilog-surelint-off): Silence warningStefan Monnier2022-07-21
|
* (compilation-parse-errors-function): Remove obsolete varStefan Monnier2022-07-21
| | | | | | | | | | | | | | | | | * lisp/progmodes/compile.el (compilation-parse-errors-function): Remove. (compilation--parse-region): Simplify accordingly. (compilation--compat-parse-errors): Remove function, not used any more. (compilation-error-list, compilation-parsing-end) (compilation-old-error-list): Remove vars, not used any more. (compilation-last-buffer): Mark as obsolete (sadly, forgot to do that back in Emacs-22). * lisp/textmodes/tex-mode.el: Remove old code that was needed for Emacs<24. * lisp/progmodes/prolog.el (prolog-consult-compile): Remove long-dead code. (compilation-parse-errors-function): Remove declaration, not used any more. (prolog-parse-sicstus-compilation-errors): Remove function, not used any more.
* Audit quoting the quote character in doc stringsLars Ingebrigtsen2022-04-22
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * test/src/regex-emacs-tests.el (regex-tests-compare): (regex-tests-compare): (regex-tests-match): * test/lisp/xml-tests.el (xml-parse-tests--qnames): * test/lisp/mh-e/mh-thread-tests.el (mh-thread-tests-before-from): * test/lisp/cedet/srecode-utest-template.el (srecode-utest-map-reset): * test/lisp/calc/calc-tests.el (calc-tests-equal): * lisp/window.el (get-lru-window): (get-mru-window): (get-largest-window): (quit-restore-window): (display-buffer): * lisp/vc/vc-rcs.el (vc-rcs-consult-headers): * lisp/url/url-auth.el (url-digest-auth-build-response): * lisp/tutorial.el (tutorial--find-changed-keys): * lisp/transient.el (transient-suffix-object): * lisp/textmodes/rst.el (rst-insert-list-new-item): * lisp/textmodes/bibtex.el (bibtex-clean-entry): * lisp/tab-bar.el (tab-bar--key-to-number): (toggle-frame-tab-bar): * lisp/ses.el (ses-recalculate-cell): (ses-define-local-printer): (ses-prin1): * lisp/progmodes/xref.el (xref--find-ignores-arguments): * lisp/progmodes/verilog-mode.el (verilog-single-declaration-end): * lisp/progmodes/tcl.el (tcl-mode-hook): * lisp/progmodes/gdb-mi.el (gdb-get-buffer-create): * lisp/progmodes/elisp-mode.el (elisp--xref-make-xref): * lisp/play/dunnet.el (dun-room-objects): * lisp/outline.el (outline--cycle-state): * lisp/org/ox-publish.el (org-publish-find-property): * lisp/org/ox-html.el (org-html--unlabel-latex-environment): * lisp/org/org-table.el (org-table-collapse-header): * lisp/org/org-plot.el (org--plot/prime-factors): * lisp/org/org-agenda.el (org-agenda--mark-blocked-entry): (org-agenda-set-restriction-lock): * lisp/org/ob-lua.el (org-babel-lua-read-string): * lisp/org/ob-julia.el (org-babel-julia-evaluate-external-process): (org-babel-julia-evaluate-session): * lisp/org/ob-core.el (org-babel-default-header-args): * lisp/obsolete/mouse-sel.el (mouse-select): (mouse-select-secondary): * lisp/net/tramp.el (tramp-methods): * lisp/net/eww.el (eww-accept-content-types): * lisp/net/dictionary-connection.el (dictionary-connection-status): * lisp/minibuffer.el (completion-flex--make-flex-pattern): * lisp/mh-e/mh-mime.el (mh-have-file-command): * lisp/mh-e/mh-limit.el (mh-subject-to-sequence): (mh-subject-to-sequence-threaded): (mh-subject-to-sequence-unthreaded): * lisp/mail/feedmail.el (feedmail-queue-buffer-file-name): (feedmail-vm-mail-mode): * lisp/ls-lisp.el (ls-lisp--sanitize-switches): * lisp/keymap.el (key-valid-p): * lisp/international/ccl.el (ccl-compile-branch-blocks): * lisp/image/image-converter.el (image-convert): * lisp/gnus/spam.el (spam-backend-check): * lisp/gnus/nnselect.el (nnselect-generate-artlist): * lisp/gnus/nnmairix.el (nnmairix-widget-other): * lisp/gnus/message.el (message-mailto): * lisp/gnus/gnus-sum.el (gnus-collect-urls-from-article): * lisp/gnus/gnus-search.el (gnus-search-prepare-query): * lisp/frame.el (frame-size-history): * lisp/eshell/esh-var.el (eshell-parse-variable-ref): * lisp/eshell/em-dirs.el (eshell-expand-multiple-dots): * lisp/erc/erc-backend.el (erc-bounds-of-word-at-point): * lisp/emulation/cua-rect.el (cua--rectangle-operation): * lisp/emacs-lisp/text-property-search.el (text-property-search-forward): * lisp/emacs-lisp/package.el (package-desc-suffix): * lisp/emacs-lisp/faceup.el (faceup-test-explain): * lisp/emacs-lisp/comp.el (comp-curr-allocation-class): (comp-alloc-class-to-container): (comp-add-cstrs): (comp-remove-type-hints-func): (batch-byte+native-compile): * lisp/emacs-lisp/cl-macs.el (cl--optimize): * lisp/elec-pair.el (electric-pair--syntax-ppss): * lisp/doc-view.el (doc-view-doc-type): * lisp/cedet/semantic/symref.el (semantic-symref-tool-alist): (semantic-symref-hit-to-tag-via-db): (semantic-symref-hit-to-tag-via-buffer): * lisp/cedet/semantic/lex-spp.el (semantic-lex-spp-get-overlay): * lisp/cedet/semantic/java.el (semantic-java-doc-keywords-map): * lisp/cedet/semantic/find.el (semantic-brute-find-tag-by-function): * lisp/cedet/semantic/db.el (semanticdb-project-predicate-functions): * lisp/cedet/semantic.el (semantic-working-type): * lisp/cedet/ede/files.el (ede-flush-directory-hash): * lisp/calc/calc.el (calc--header-line): * lisp/auth-source.el (auth-source-pick-first-password): (auth-source--decode-octal-string): * etc/themes/modus-themes.el (modus-themes--paren): (modus-themes--agenda-habit): * admin/cus-test.el (cus-test-vars-with-changed-state): Fix quoting in doc strings. In code examples, the ' character is quoted with \\=, and regularize 'foo to `foo', and quote strings like "foo" instead of 'foo'.
* Merge from origin/emacs-28Eli Zaretskii2022-01-01
|\ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | 836be7a112 ; * etc/refcards/ru-refcard.tex: Update Copyright year. 86cbc6ee4a * lisp/net/tramp-sh.el: Adapt copyright year ebe8772f65 ; Minor fixes related to copyright years 23c1ee6989 ; * test/manual/etags/ETAGS.good_N: Adjust to copyright ye... 8d3fc7ec89 * src/xfaces.c (face_for_font): Make 'hash' be uintptr_t. 19dcb237b5 ; Add 2022 to copyright years. # Conflicts: # etc/NEWS # etc/refcards/ru-refcard.tex # lib/cdefs.h # lisp/erc/erc-dcc.el # lisp/erc/erc-imenu.el # lisp/erc/erc-replace.el # lisp/image-dired.el # lisp/progmodes/xref.el # m4/alloca.m4 # m4/byteswap.m4 # m4/errno_h.m4 # m4/getopt.m4 # m4/gnulib-common.m4 # m4/inttypes.m4 # m4/stddef_h.m4 # m4/stdint.m4 # m4/sys_socket_h.m4
| * ; Add 2022 to copyright years.Eli Zaretskii2022-01-01
| |
* | ; verilog-mode.el documentation and regexp cleanup from upstream.Wilson Snyder2021-10-14
| |
* | Merge from origin/emacs-28Glenn Morris2021-10-05
|\| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | 63abe976ce (origin/emacs-28) Document minibuffer-default-prompt-forma... b5afbedc90 Backward compatibility option for 'nobreak-char-display' ea1b728a06 ; * lisp/dired.el: Fix typo. 984eafeb98 Unbreak the build after Gnulib update 5946370cd1 Check, whether an FUSE mount has been broken in Tramp 90575a6c0c Disable 'nobreak-char-display' in Eldoc buffers 570e2c9a17 Fix small error in comint-send-input fd7bb31412 Update documentation of search-whitespace-regexp 1f4ced47a1 Fix cc-compat.el syntax error b431f54c1b Mention `seq-uniq' in `delete-dups' documentation 0a7bab689c ; Minor stylistic fixes found by checkdoc e2861e2d08 ; * etc/NEWS: Fix typo. 1a65d49931 Port recent Gnulib changes to MS-Windows 68a256c892 Update from Gnulib 63cb65dcce * Fix mh tests for native comp builds (bug#50975) e606cc6f40 * Fix `batch-native-compile' not to spawn a subprocess 894dfe70da Fix native-compilation build from tarball on Cygwin 2ce5e08058 Remove U+FE0F from script-representative-chars # Conflicts: # etc/NEWS
| * ; Minor stylistic fixes found by checkdocStefan Kangas2021-10-05
| |
* | Use format-prompt for many more promptsStefan Kangas2021-10-05
|/ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/bookmark.el (bookmark-completing-read): * lisp/calc/calc-prog.el (calc-user-define-formula): * lisp/calc/calc-store.el (calc-permanent-variable): * lisp/calc/calc-units.el (calc-convert-units) (calc-convert-exact-units, calc-convert-temperature): * lisp/cedet/semantic/complete.el (semantic-complete-read-tag-engine): * lisp/cus-edit.el (customize-read-group): * lisp/dired-aux.el (dired-do-chxxx): * lisp/dired-x.el (dired-mark-unmarked-files): * lisp/emacs-lisp/debug.el (cancel-debug-on-entry) (cancel-debug-on-variable-change): * lisp/emacs-lisp/edebug.el (edebug-cancel-on-entry) (edebug-remove-instrumentation): * lisp/epa.el (epa-read-file-name, epa-export-keys): * lisp/faces.el (read-face-name): * lisp/format.el (format-decode-buffer, format-decode-region): * lisp/gnus/gnus-art.el (gnus-read-save-file-name): * lisp/gnus/gnus-util.el (gnus-completing-read): * lisp/gnus/message.el (message-check-news-header-syntax): * lisp/info.el (Info-follow-reference): * lisp/international/mule-diag.el (describe-font) (describe-fontset): * lisp/international/quail.el (quail-show-keyboard-layout): * lisp/language/cyril-util.el (standard-display-cyrillic-translit): * lisp/mail/rmailkwd.el (rmail-read-label): * lisp/mail/rmailmm.el (rmail-mime-save): * lisp/mail/rmailout.el (rmail-output-read-file-name): * lisp/man.el (Man-goto-section, Man-follow-manual-reference): * lisp/menu-bar.el (emacs-index--prompt): * lisp/net/ange-ftp.el (ange-ftp-get-passwd): * lisp/proced.el (proced-send-signal): * lisp/progmodes/cpp.el (cpp-choose-face): * lisp/progmodes/ebrowse.el (ebrowse-set-tree-indentation): * lisp/progmodes/etags.el (visit-tags-table) (visit-tags-table-buffer): * lisp/progmodes/grep.el (grep-read-files): * lisp/progmodes/hideif.el (hide-ifdef-define): * lisp/progmodes/pascal.el (pascal-goto-defun): * lisp/progmodes/prolog.el (prolog-read-predicate): * lisp/progmodes/sql.el (sql-get-login-ext): * lisp/ses.el (ses-define-local-printer): * lisp/textmodes/artist.el (artist-figlet-choose-font): * lisp/textmodes/tex-mode.el (tex-compile): * lisp/vc/diff.el (diff): * lisp/vc/ediff-ptch.el (ediff-prompt-for-patch-file): * lisp/vc/ediff-util.el (ediff-read-file-name): * lisp/vc/pcvs.el (cvs-mode-mark-on-state): * lisp/vc/vc.el (vc-diff-build-argument-list-internal) (vc-revision-other-window, vc-retrieve-tag): * lisp/wid-edit.el: Prefer format-prompt unconditionally. * lisp/org/org-capture.el (org-capture-fill-template): * lisp/org/org-refile.el (org-refile-get-location): * lisp/progmodes/python.el (python-eldoc-at-point): * lisp/progmodes/verilog-mode.el (verilog-surelint-off) (verilog-goto-defun): * lisp/progmodes/xref.el (xref--read-identifier): Prefer format-prompt when it is fboundp.
* ; Adjust overly long docstrings to fit 80 charactersStefan Kangas2021-09-26
|
* ; * lisp/progmodes/verilog-mode.el: Untabify doc-strings.Wilson Snyder2021-09-23
|
* verilog-mode.el: Backout part of recent change showing wrong commentWilson Snyder2021-09-23
|
* ; More minor stylistic fixes found by checkdocStefan Kangas2021-09-22
|
* verilog-mode.el: Enable lexical binding, and merge from upstream.Wilson Snyder2021-09-22
| | | | | | | | * lisp/progmodes/verilog-mode.el: Enable lexical binding. Templates that used the never-documented `inst' or `submod' variables may need to change to use vl-... variables. (verilog-at-constraint-p): Fix indentation on double curly brackets (#1719) (#1744). Reported by Nikolay Puzanov.
* Don't use `format' on strings without % format directivesLars Ingebrigtsen2021-09-21
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/vc/ediff-init.el (ediff-BAD-INFO): * lisp/url/url-ldap.el (url-ldap): * lisp/url/url-http.el (url-http--user-agent-default-string): * lisp/textmodes/reftex.el (reftex-access-parse-file): * lisp/textmodes/reftex-index.el (reftex-index-phrases-info): * lisp/textmodes/ispell.el (ispell-create-debug-buffer): * lisp/term.el (serial-read-speed): * lisp/progmodes/verilog-mode.el (verilog-scan-debug): * lisp/progmodes/idlwave.el (idlwave-find-module): * lisp/progmodes/compile.el (compilation-revert-buffer): * lisp/org/org-agenda.el (org-search-view): * lisp/net/telnet.el (telnet-revert-buffer): * lisp/net/soap-inspect.el (soap-sample-value-for-xs-simple-type): * lisp/net/newst-backend.el (newsticker--cache-read): * lisp/mh-e/mh-seq.el (mh-msg-is-in-seq): * lisp/mail/smtpmail.el (smtpmail-via-smtp): * lisp/mail/emacsbug.el (report-emacs-bug): * lisp/jsonrpc.el (jsonrpc-error): * lisp/help-fns.el (describe-variable): * lisp/gnus/mm-decode.el (mm-possibly-verify-or-decrypt): * lisp/gnus/gnus.el (gnus-group-startup-message): (gnus-group-startup-message): * lisp/gnus/gnus-group.el (gnus-group-restart): * lisp/frame.el (make-frame-on-display): * lisp/emulation/viper-ex.el (ex-help): * lisp/calendar/icalendar.el (icalendar--convert-ical-to-diary): (icalendar--add-diary-entry): * lisp/calendar/cal-tex.el (cal-tex-end-document): * lisp/calc/calcalg3.el (math-ninteg-romberg): Don't use `format' on strings that have no % format directives in them.
* ; Minor stylistic fixes found by checkdocStefan Kangas2021-09-16
|
* verilog-mode.el: Merge from upstream: prepare for lexical bindings.Wilson Snyder2021-09-16
| | | | | | * verilog-mode.el (verilog-do-indent) (verilog-indent-declaration, verilog-read-always-signals-recurse) (verilog-read-decls): Prepare for lexical bindings.
* ; Normalize some header commentsStefan Kangas2021-09-16
|
* verilog-mode.el: Update verilog-mode from upstream.Wilson Snyder2021-09-14
| | | | | | | | | | | | | | | | | | * lisp/progmodes/verilog-mode.el: (verilog-basic-complete-re) (verilog-behavioral-block-beg-re, verilog-defun-keywords) (verilog-defun-level-generate-only-re, verilog-defun-level-re) (verilog-endcomment-reason-re, verilog-indent-re) (verilog-keywords, verilog-no-indent-begin-re) (verilog-set-auto-endcomments): Support Verilog-A `analog` blocks (#1738). Reported by Dan McMahill. (verilog-read-defines): Fix verilog-read-defines to work with SystemVerilog types (#1734). Reported by Shareef Jalloq. (verilog-indent-declaration, verilog-pretty-declarations): Fix leaving extra spaces before tabs on lining up declarations. (#1723) Reported by TAKAI Kousuke. (verilog-auto-inst, verilog-auto-inst-port) (verilog-read-auto-template-middle, verilog-read-sub-decls-line): Support AUTONOHOOKUP to not AUTOWIRE hookup AUTO_TEMPLATE signals. (#1526) Reported by firefoxtc.
* Remove ineffective expression in verilog-modeMattias Engdegård2021-08-03
| | | | | * lisp/progmodes/verilog-mode.el (verilog-set-auto-endcomments): Remove expression that now elicits a byte-compiler warning.
* * lisp/progmodes/verilog-mode.el (vl-memory): Add missing defvar.Wilson Snyder2021-04-12
|
* Adjust verilog-mode to changes in the completion frameworkLars Ingebrigtsen2021-04-12
| | | | | | * lisp/progmodes/verilog-mode.el (verilog-func-completion): Don't bug out on `C-M-i' (which expects no point movement) (bug#47652). (verilog-declaration-end): There may be no semicolons; don't bug out.
* lisp/progmodes/verilog-mode.el internal code cleanup.Mattias Engdegard2021-03-30
| | | | | * lisp/progmodes/verilog-mode.el (verilog-at-close-struct-p): Internal code cleanup.
* lisp/progmodes/verilog-mode.el: Prepare for lexical-binding.Stefan Monnier2021-03-29
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/progmodes/verilog-mode.el: Activate lexical-binding. Insert newlines to avoid some situations where arguments were easy to misunderstand. (verilog-set-compile-command): Strength-reduce `eval` to `symbol-value`. (verilog-error-regexp-add-emacs): Replace `mapcar` => `mapc` since the result is not used. (verilog-surelint-off): Remove always-nil var `dir`. (verilog-do-indent): Minor simplification of the code. (verilog-pred): Delete var. It was always nil. (verilog-flag): Delete var; pass the corresponding data via explicit arguments instead. (verilog-keyword-completion): Use `dolist`. (verilog-completion-response): Rename to `verilog--complete-with-action`. Change calling convention to match that of `complete-with-action`. Use `complete-with-action` instead when available. Adjust all callers. (verilog-completion, verilog-comp-defun): Change arg names so they don't collide with dynamically scoped vars, and let-bind `verilog-str` explicitly instead. Use `with-current-buffer`. (verilog-batch-execute-func): Use `with-current-buffer`. (verilog-complete-word): Don't convert the completion list into a completion alist, since lists work just as well. (verilog-showscopes): Use `with-current-buffer`. (verilog-symbol-detick, verilog-symbol-detick-text) (verilog-signals-matching-enum): Strength-reduce `eval` to `symbol-value`. (verilog--insert-indent): Rename from `verilog--insert-indent`. Add `indent-pt` argument. (verilog-insert-indent): New macro wrapper to provide the old calling convention. (verilog-auto-assign-modport, verilog-auto-inout-modport): Remove always-nil var `direction-re`. (verilog--auto-inst-first): Rename from `verilog-auto-inst-first`. Add `indent-pt` argument. (verilog-auto-inst-port): Adjust call accordingly.
* * lisp/progmodes/verilog-mode.el: Use #' where appropriate.Stefan Monnier2021-03-29
|
* lisp/progmodes/verilog-mode.el: Fix indentation of enum.Wilson Snyder2021-03-29
| | | | | * lisp/progmodes/verilog-mode.el (verilog-at-close-struct-p): Fix indentation of enum with multiple objects. Reported by punzik. (#1716).
* Fix typosMattias Engdegård2021-02-18
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * doc/lispref/display.texi (Size of Displayed Text): * doc/lispref/windows.texi (Buffer Display Action Functions): * etc/NEWS: * etc/ORG-NEWS (Org-Attach has been refactored and extended): * lisp/battery.el (display-battery-mode, battery--upower-subsribe): * lisp/calendar/parse-time.el: * lisp/dired-x.el: * lisp/emacs-lisp/chart.el (chart-sequece, chart-bar-quickie): * lisp/emacs-lisp/eldoc.el (eldoc-echo-area-use-multiline-p) (eldoc-documentation-strategy): * lisp/emacs-lisp/pcase.el (pcase--split-pred, pcase--u1): * lisp/gnus/gnus-search.el (gnus-search-expandable-keys) (gnus-search-parse-query, gnus-search-query-return-string) (gnus-search-imap, gnus-search-imap-search-command) (gnus-search-transform-expression): * lisp/gnus/nnselect.el: * lisp/isearch.el (isearch-lazy-count-format): * lisp/mh-e/mh-show.el (mh-show-msg): * lisp/net/dictionary-connection.el (dictionary-connection-open): * lisp/net/dictionary.el (dictionary-default-popup-strategy) (dictionary, dictionary-split-string, dictionary-do-select-dictionary) (dictionary-display-dictionarys, dictionary-search) (dictionary-tooltip-mode): * lisp/net/eudcb-macos-contacts.el (eudc-macos-contacts-set-server): * lisp/net/mailcap.el (mailcap-mime-data): * lisp/net/tramp-smb.el (tramp-smb-maybe-open-connection): * lisp/nxml/nxml-mode.el (nxml-mode): * lisp/progmodes/cc-engine.el: * lisp/progmodes/cperl-mode.el (cperl-mode) (cperl-fontify-syntaxically): * lisp/progmodes/flymake.el (flymake-diagnostic-functions): * lisp/progmodes/verilog-mode.el (verilog--supressed-warnings) (verilog-preprocess): * lisp/simple.el (self-insert-uses-region-functions): * lisp/textmodes/bibtex.el (bibtex-copy-summary-as-kill): * lisp/textmodes/texnfo-upd.el (texinfo-insert-master-menu-list): * src/dispnew.c: * src/font.c (Ffont_get): * src/indent.c (compute_motion): * src/process.c (init_process_emacs): * src/w32fns.c (deliver_wm_chars): * test/lisp/jsonrpc-tests.el (deferred-action-complex-tests): Fix typos in documentation, comments, and internal identifiers.
* Assume font-lock-mode variable is not voidStefan Kangas2021-02-05
| | | | | | | | | | | | | | | | | * lisp/align.el (align-rules-list): * lisp/cedet/semantic/idle.el (semantic-idle-summary-useful-context-p): * lisp/org/org-table.el (org-table-edit-field): * lisp/org/org.el (org-restart-font-lock): * lisp/progmodes/antlr-mode.el (antlr-language-option-extra): * lisp/progmodes/idlwave.el (idlwave-choose): * lisp/progmodes/sql.el (sql-product-font-lock): * lisp/progmodes/verilog-mode.el (verilog-save-font-no-change-functions, verilog-preprocess): * lisp/vc/cvs-status.el: * lisp/vc/smerge-mode.el (smerge-mode): * lisp/woman.el (woman-decode-buffer): Assume font-lock-mode variable is not void; it is preloaded.
* Update lisp/progmodes/verilog-mode.elWilson Snyder2021-02-02
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/progmodes/verilog-mode.el: Cleanup compile-time warning suppression. Use underscore for unused arguments and other style cleanups. Use '# for function references. By Stefan Monnier. (verilog-auto-reset, verilog-sig-tieoff): Fix AUTORESET '0 (#1714). Reported by Paul Adams. (verilog-simplify-range-expression): Fix AUTOWIRE simplifying X/Y where there is a remainder (#1712). Reported by Joachim Lechner. (verilog-read-sub-decls-expr): Fix multiplication in multidimensional AUTOINST output (#1698). Reported by alanamckee. (verilog-at-constraint-p, verilog-at-streaming-op-p, verilog-streaming-op-re): Add streaming operator support (#1692) (#1516), (verilog-auto-assign-modport, verilog-auto-inout-modport): Support adding prefix to AUTOASSIGNMODPORT and AUTOINOUTMODPORT (#1690). (verilog-signals-matching-dir-re): Fix error when matching regexp with 2D packed memory. Reported by Chris DeMarco. (verilog-declaration-core-re): Allow parameter declaration statements to align like any other declaration (#1683). Suggested by Vinam Arora. (verilog-auto-inout, verilog-auto-inout-in) (verilog-auto-inout-module, verilog-auto-input, verilog-auto-inst) (verilog-auto-inst-param, verilog-auto-output-every) (verilog-signals-matching-regexp) (verilog-signals-not-matching-regexp): When "?!" is at the front of a signal-matching regexp, invert it. (verilog-declaration-varname-matcher) (verilog-highlight-max-lookahead, verilog-mode) (verilog-single-declaration-end) (verilog-font-lock-keywords-1): Improve syntax highlighting in declaration statements, and support multi-line declarations, #1681. Reported by Vinam Arora.
* Update copyright year to 2021Paul Eggert2021-01-01
| | | | Run "TZ=UTC0 admin/update-copyright".
* Fix some over-wide docstringsStefan Kangas2020-12-30
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/cedet/semantic/analyze/refs.el (semantic-analyze-refs-proto) (semantic-analyze-refs-impl): * lisp/cedet/semantic/symref.el (semantic-symref-hit-to-tag-via-buffer): * lisp/emacs-lisp/chart.el (chart-axis-draw): * lisp/emacs-lisp/cl-macs.el (cl-defstruct, cl-loop): * lisp/emacs-lisp/eieio-core.el (eieio--add-new-slot): * lisp/eshell/em-unix.el (eshell/info): * lisp/gnus/deuglify.el (gnus-outlook-rearrange-article): * lisp/gnus/gnus-agent.el (gnus-agent-read-article-number): * lisp/gnus/gnus-util.el (gnus-put-overlay-excluding-newlines) (gnus-put-text-property-excluding-newlines): * lisp/gnus/message.el (message-sort-headers): * lisp/gnus/nntp.el (nntp-with-open-group) (nntp-with-open-group-function): * lisp/gnus/nnvirtual.el (nnvirtual-create-mapping): * lisp/mail/feedmail.el (feedmail-fiddle-list-of-fiddle-plexes) (feedmail-queue-reminder, feedmail-mail-send-hook-splitter): * lisp/net/dictionary.el (dictionary-do-matching): * lisp/obsolete/longlines.el (longlines-auto-wrap): * lisp/org/ob-sql.el (org-babel-sql-dbstring-vertica): * lisp/org/ol-bbdb.el (org-bbdb-date-list): * lisp/progmodes/cc-cmds.el (c-mark-function): * lisp/progmodes/cperl-mode.el (cperl-add-tags-recurse) (cperl-add-tags-recurse-noxs-fullpath) (cperl-add-tags-recurse-noxs): * lisp/progmodes/etags.el (tags-search): * lisp/progmodes/verilog-mode.el (verilog-delete-auto-buffer) (verilog-auto-re-search-do, verilog-expand-vector-internal): * lisp/textmodes/reftex-parse.el (reftex-init-section-numbers): * lisp/textmodes/reftex-toc.el (reftex-toc-load-all-files-for-promotion): * lisp/textmodes/sgml-mode.el (html-mode): * lisp/textmodes/table.el (table--transcoord-cache-to-table) (table--transcoord-table-to-cache, table--remove-eol-spaces) (table--region-in-cell-p, table-goto-bottom-right-corner) (table-split-cell-horizontally): * lisp/url/url-handlers.el (url-insert): * lisp/vc/ediff-util.el (ediff-inferior-compare-regions): Fix doc strings to not exceed 80-column limits. (Bug#44858)
* Shorten over-wide docstrings in variablesStefan Kangas2020-12-19
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | * lisp/cedet/semantic/util-modes.el (semantic-highlight-func-popup-menu): * lisp/emacs-lisp/elint.el (elint-top-form-logged): * lisp/erc/erc-dcc.el (erc-dcc-list): * lisp/expand.el (expand-pos): * lisp/font-lock.el (cpp-font-lock-keywords-source-depth): * lisp/gnus/gnus-sum.el (gnus-sort-gathered-threads-function): * lisp/gnus/message.el (message-cite-style-thunderbird): * lisp/gnus/nnmh.el (nnmh-be-safe): * lisp/gnus/nntp.el (nntp-open-telnet-envuser): * lisp/international/mule-cmds.el (current-transient-input-method): * lisp/net/tramp.el (tramp-file-name-structure): * lisp/org/ob-R.el (org-babel-R-write-object-command): * lisp/org/org-attach.el (org-attach-after-change-hook): * lisp/org/org.el (org-stamp-time-of-day-regexp): * lisp/progmodes/elisp-mode.el (elisp-xref-find-def-functions): * lisp/progmodes/ruby-mode.el (ruby-block-mid-re): * lisp/progmodes/verilog-mode.el (verilog-cache-enabled): * lisp/term.el (term-scroll-end): * lisp/textmodes/table.el (table-command-remap-alist) (table-inhibit-auto-fill-paragraph, table-command-remap-alist): * lisp/vc/ediff-diff.el (ediff-ignore-similar-regions): * lisp/vc/ediff-wind.el (ediff-mouse-pixel-threshold): * lisp/vc/smerge-mode.el (smerge-refine-ignore-whitespace): * lisp/vc/vc.el (vc-log-short-style): * lisp/view.el (view-exit-action): Shorten doc strings to not exceed 80-column limits. (Bug#44858)
* ; Fix typosStefan Kangas2020-09-21
|
* Verilog-Mode collected updates.Wilson Snyder2020-07-05
| | | | | | | | | | | | * lisp/progmodes/verilog-mode.el (verilog-auto-inst): Support regexp of what AUTOINST I/O to include, issue #1682. Reported by Mrainy. (verilog-font-lock-keywords-1): Fix highlighting module names with no following (, issue #1679. Reported by Vinam Arora. (verilog-font-lock-keywords) Adds syntax highlighting for identifiers in declaration statements, #1678. (verilog-calculate-indent, verilog-inject-arg) (verilog-keywords, verilog-showscopes): Support AMS connectmodule/endconnectmodule, #1665. Reported by Dan McMahill.
* Evaluate some unnecessarily quoted lambdasBasil L. Contovounesios2020-06-21
| | | | | | | | | | | | | | | | | * lisp/cedet/semantic/complete.el (semantic-displayer-tooltip-max-tags): * lisp/emacs-lisp/benchmark.el (benchmark-run-compiled): * lisp/emacs-lisp/package.el (package--default-summary) (package-menu-filter-by-version): * lisp/eshell/em-pred.el (eshell-pred-file-time): * lisp/progmodes/verilog-mode.el (verilog-auto-lineup) (verilog-auto-reset-widths, verilog-auto-arg-format) (verilog-auto-inst-vector, verilog-auto-inst-template-numbers): * lisp/textmodes/bibtex.el (bibtex-dialect): * test/lisp/autoinsert-tests.el (autoinsert-tests-define-auto-insert-before) (autoinsert-tests-define-auto-insert-after): Remove some unnecessary quoting around anonymous functions.
* Add `verilog-auto-inst-template-required'.Wilson Snyder2020-02-23
| | | | | | | * lisp/progmodes/verilog-mode.el (verilog-auto-inst-template-required) (verilog-auto-inst): Add `verilog-auto-inst-template-required' to only insert AUTOINST ports inside an AUTO_TEMPLATE, msg3170. Reported by Ted Huang, Brian Magnuson.
* Remove subsumed repetitions in regexpsMattias Engdegård2020-02-20
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Make regexps smaller and faster by removing terms that are superfluous by virtue of standing next to another term that matches more. See https://lists.gnu.org/archive/html/emacs-devel/2020-01/msg00949.html for details. * lisp/bs.el (bs--make-header-match-string): * lisp/gnus/deuglify.el (gnus-outlook-repair-attribution-block): * lisp/gnus/message.el (message-subject-trailing-was-ask-regexp) (message-subject-trailing-was-regexp): * lisp/informat.el (Info-validate): * lisp/net/browse-url.el (browse-url-button-regexp): * lisp/net/rcirc.el (rcirc-url-regexp): * lisp/org/ob-core.el (org-babel-remove-result): * lisp/org/ob-fortran.el (org-babel-fortran-ensure-main-wrap): * lisp/org/org-capture.el (org-capture-set-target-location): * lisp/org/org-table.el (org-table-expand-lhs-ranges): * lisp/org/org.el (org-maybe-keyword-time-regexp, org-ts-regexp) (org-ts-regexp-inactive, org-ts-regexp-both): * lisp/play/gametree.el (gametree-hack-file-layout): * lisp/progmodes/cc-mode.el (c-Java-defun-prompt-regexp): * lisp/progmodes/idlw-shell.el (idlwave-shell-halting-error): * lisp/progmodes/ruby-mode.el (ruby-mode-set-encoding): * lisp/progmodes/verilog-mode.el (verilog-error-font-lock-keywords) (verilog-verilint-off, verilog-case-indent-level) (verilog-within-translate-off, verilog-start-translate-off) (verilog-back-to-start-translate-off, verilog-end-translate-off) (verilog-expand-dirnames): * lisp/term.el (term-control-seq-regexp): * lisp/textmodes/reftex-vars.el (featurep): * lisp/url/url-gw.el (url-open-telnet): * lisp/vc/ediff-ptch.el (ediff-context-diff-label-regexp): * lisp/vc/pcvs-parse.el (cvs-parse-status): * test/src/regex-emacs-tests.el (regex-tests-PCRE): Remove subsumed repetitions. * lisp/progmodes/sh-script.el (sh-syntax-propertize-function): Simplify repetition of a repetition.
* Update copyright year to 2020Paul Eggert2020-01-01
| | | | Run "TZ=UTC0 admin/update-copyright $(git ls-files)".
* Verilog-Mode collected updates.Wilson Snyder2019-12-17
| | | | | | | | * lisp/progmodes/verilog-mode.el (verilog-compiler-directives): Support indenting `uselib. (verilog-read-decls): Fix AUTO* to ignore `protected regions. (verilog-read-auto-template-middle): Fix AUTO_TEMPLATEs with multiple module templates and at-REGEXPs, msg3183. Reported by Berk Akinci.
* 2019-12-05 regexp lint fixesPaul Eggert2019-12-05
| | | | | | | | | | | | * lisp/org/org-agenda.el (org-agenda-filter): Fix unescaped literal ‘+’ in regexp. Reported by Mattias Engdegård in: https://lists.gnu.org/r/emacs-devel/2019-12/msg00215.html * lisp/org/org.el (org-clone-subtree-with-time-shift): Fix a regexp typo that mishandled strings like ‘\1d’, reported by the same emaikl. * lisp/progmodes/verilog-mode.el (verilog-inject-inst): Omit unnecessary ‘?’ in regexp. Reported by Mattias Engdegård in: https://lists.gnu.org/r/emacs-devel/2019-12/msg00217.html